Seminar Topics & Project Ideas On Computer Science Electronics Electrical Mechanical Engineering Civil MBA Medicine Nursing Science Physics Mathematics Chemistry ppt pdf doc presentation downloads and Abstract

Full Version: DESIGN OF REAL TIME CLOCK ON FPGA FOR PORTABLE HANDHELD APPLICATIONS
You're currently viewing a stripped down version of our content. View the full version with proper formatting.
DESIGN OF REAL TIME CLOCK ON FPGA FOR PORTABLE HANDHELD APPLICATIONS

[attachment=24021]

ABSTRACT

The design of a REAL TIME CLOCK (RTL) is a clock for designing a 24-hr clock and stop watch timer. The buzzer indicator for the required time is reached. The 24 hr timer is again displays Hrs/mts/secs are designed. The stop watch timers with three independent alarms are designed in this project.
Once started, the counting commences from “00 00 00” progressing upwards. When it touches the set value, it stops, simultaneously sounding the alarm.
The I/O board needs to be populated with all the six, seven-segment LEDs for the real time clock of hr/mts/secs application for timer display on FPGA board.
Applications of real time clock are
• Stop watch
• Industrial timer
• Photographic timer
• Medical for time-bound medical treatment, as an example:
• Application using three alarm settings