Seminar Topics & Project Ideas On Computer Science Electronics Electrical Mechanical Engineering Civil MBA Medicine Nursing Science Physics Mathematics Chemistry ppt pdf doc presentation downloads and Abstract

Full Version: Extreme Ultra-Violet Lithography
You're currently viewing a stripped down version of our content. View the full version with proper formatting.
Extreme Ultra-Violet Lithography

[attachment=50353]

Why EUV?

Minimum lithographic feature size =
k1: “Process complexity factor” – includes “tricks” like phase-shift masks
λ: Exposure wavelength
NA: Numerical aperture of the lens – maximum of 1 in air, a little higher in immersion lithography (Higher NA means smaller depth of focus, though)

Why EUV? Why not the next excimer line?

Hg (G line) @ 436nm  Hg (H line) @ 405nm  Hg (I line) @ 365nm 
KrF Excimer @ 248nm  ArF Excimer @ 193nm  ?
157nm lithography based on the fluorine excimer laser has been largely shelved, which leaves 193nm with extensions for production
Below that, no laser line has the required output power
Excimer-based steppers expose 109 steps per 300mm wafer, and produce >100 wafers per hour – exposure times ~ 10-20ns
Additionally, fused silica and atmospheric oxygen become absorptive by 157nm – so even incremental decreases in wavelength start to require a major system overhaul

Current Lithographic Technology

Lenses are very effective and perfectly transparent for 193nm and above, so many are used
A single “lens” may be up to 60 fused silica surfaces
System maintained at atmospheric pressure
Lens NA ~0.5-0.85
Up to 1.1 for immersion
Exposure field 26x32mm
Steppers capable of >100
300mm wafers per hour
at >100 exposures per
wafer

Plasma Radiation Source

The only viable source for 13.5nm photons is a plasma
Powerful plasma required – temperature of up to 200,000oC, atoms ionized up to +20 state
Plasma must be pulsed – pulse length in pico- to nanosecond range

Conclusion

Will 193nm ever die?
As recently as 2003, EUV was “the only viable solution” for the 45nm node
Now Intel wants EUV for the 32nm node, but it may be pushed back more:
“In a nutshell, many believe that EUV will NOT be ready for the 32-nm node in 2009. Some say the technology will get pushed out at the 22- nm node in 2011. Some even speculate that EUV will never work.”
My opinion: never say “never” about this industry…
A lot of work remains: increase output power of 13.5nm source, increase NA of reflective lenses, increase lifetime of collector optics (decrease cost of ownership)
But the potential payoff is sufficient that we will make it work
Extreme ultraviolet lithography (also known as EUV or EUVL) is a next generation lithography technology that uses an extreme ultraviolet wavelength (EUV) currently expected to be 13.5 nm. EUV is currently being developed for high volume use by 2020.

The tool consists of a laser-guided, reflective optic plasma light source Sn comprising multilayer mirrors, contained within an atmosphere of hydrogen gas. Hydrogen is used to keep the EUV collector mirror in the free source of Sn deposition.

EUVL is a significant difference from the deep ultraviolet lithography standard. All the matter absorbs the EUV radiation. Therefore, EUV lithography requires a vacuum. All optical elements, including the photomask, must use Mo / Si multilayers free of defects that act to reflect light through interference between layers; any of these mirrors absorb about 30% of the incident light.

Current EUVL systems contain at least two multilayer condenser mirrors, six multi-layer projection mirrors and a multilayer object (mask). Since optics already absorb 96% of EUV light, the ideal EUV source will have to be much brighter than its predecessors. The development of the EUV source has focused on plasmas generated by laser pulses or discharge. The mirror responsible for collecting light is directly exposed to plasma and therefore vulnerable to damage from high energy ions and other debris.